Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Лекция 4 РЕГИСТРЫ

 

4.1 Общие сведения о регистрах

 

Регистры — это устройства, предназначенные для записи, хранения, выдачи и преобразования информации, представленной в виде двоичных кодов.

Области применения: устройства памяти, элементы задержки, преобразователи последовательных кодов в параллельный и наоборот, кольцевые распределители сигналов и т.д. В зависимости от функциональных свойств и схемной реализации подразделяются на:

- регистры памяти;

- регистры сдвига;

- универсальные регистры.

 

4.2 Регистры памяти

 

Назначение регистров памяти – сохранять двоичный код на протяжении некоторого промежутка времени. Они состоят из набора триггеров, каждый из которых сохраняет один разряд кода. Следовательно, для хранения n-разрядного двоичного кода регистр должен иметь n триггеров. Структуру и работу такого триггера поясняет схема на рисунке 4.1.

 

Рисунок 4.1- Структура регистра памяти

Двоичный код поступает в параллельной форме на входы Х0, Х1, Х2, после чего на вход С подается тактирующий импульс, которым производится запись в соответствующий триггер.

 

4.3 Сдвигающие регистры

 

Сдвигающий регистр — это группа триггеров, соединенных таким образом, что информация из каждого триггера может передаваться в следующий триггер, сдвигая код, записанный в регистре. В зависимости от направления сдвига различают регистры:

- со сдвигом вправо (в сторону младших разрядов),

- со сдвигом влево (в сторону старших разрядов),

- реверсивные (сдвигающие и вправо и влево).

Условное графическое обозначение сдвигающего вправо регистра показано на рисунке 4.2. Здесь стрелкой показано направление сдвига.

 

Рисунок 4.2-Условное графическое обозначение сдвигающего регистра

 

На рисунке 4.3 показан сдвигающий регистр, состоящий из соединенных последовательно D-триггеров, а на рисунке 4.4 функциональная схема сдвигающего регистра основе RS-триггеров. Важной особенностью сдвигающих регистров является их исполнение на триггерах исключительно двухступенчатой MS-структуры.

Рисунок 4.3 - Функциональная схема сдвигающего регистра основе D-триггеров

 

Рисунок 4.4- Функциональная схема сдвигающего регистра основе RS-триггеров

 

По переднему фронту синхронизирующего импульса С информация со входа записывается в М-часть первого триггера, а с выхода первого – в М-часть второго, со второго – в третий и так далее. По спаду синхронизирующего импульса С информация переписывается и М-части в S-часть. Таким образом, информация сдвигается на один разряд после каждого синхронизирующего импульса.

Такой регистр сдвигает коды в одном направлении. Информация, поступившая на вход во время какого-либо такта, появится на выходе Qn сдвигающего регистра через n тактов.

Если Qn считать старшим разрядом, то сдвиг данных происходит в сторону старших разрядов, т. е. влево. Если Qn — младший разряд, то происходит сдвиг данных вправо от старших разрядов к младшим.

В рассмотренном регистре запись информации производится по входу последовательным кодом (разряд за разрядом).

 

4.4 Реверсивные регистры

 

Существуют регистры, которые могут сдвигать данные в обоих направлениях. Такие регистры называются реверсивными. Принцип построения реверсивных регистров показан на схеме, изображенной на рисунке 4.5.

 

Рисунок 4.5- Функциональная схема реверсивного регистра на основе D-триггеров

 

Направление сдвига задается сигналом, подаваемым на вход V. Если V=1, то открыты нижние по схеме вентили & элементов 2И-ИЛИ, на управляющие входы которых поступает сигнал «1», и происходит сдвиг вправо. Если V=0, то открыты верхние по схеме вентили & элементов 2И-ИЛИ, т.к. сигнал управления поступает на них через инвертор; происходит сдвиг влево.

 

4.5 Универсальные регистры

 

Часто требуются более сложные регистры: с параллельной синхронной записью информации, реверсивные, с параллельно-последовательной синхронной записью. Такие регистры называются универсальными.

Примером универсального регистра служит ИМС типа К155ИР1, условное графическое обозначение которого показано на рисунке 4.6.

Рисунок 4.6-Условное графическое обозначение универсального регистра типа К155ИР1

 

Это четырехразрядный сдвигающий регистр с возможностью последовательной и параллельной записи информации. Его функциональная схема показана на рисунке 4.7.

Регистр выполнен на четырех RS-триггерах и имеет два тактирующих входа СІ, С2 и один вход V2, управляющий режимом работы регистра. Информационный вход V1 служит для занесения данных в последовательном коде, а входы D1—D4 — для занесения данных в параллельном коде.

Регистр может работать в четырех различных режимах, при которых выполняются: сдвиг кодов вправо, сдвиг кодов влево, параллельное занесение данных, хранение информации. Выбор того или иного из них осуществляется подачей соответствующего уровня логического сигнала на управляющий вход V2. При V2 = О производится сдвиг кодов в сторону старших разрядов. Если V2 = 1, то происходит параллельное занесение информации по входам D1—D4.

Рисунок 4.7-Функциональная схема универсального регистра типа К155ИР1

 

При работе регистра в режиме преобразования последовательного кода в параллельный со сдвигом в сторону старших разрядов (V2 = 0) отключаются входы параллельной записи D1- D4, разрешаются занесение данных в регистр по входу V1 в последовательном коде и прохождение тактирующих сигналов по входу С1, а также устанавливаются связи выхода каждого младшего разряда со входом последующего старшего. Сдвиг на один разряд вправо осуществляется при каждом спаде тактирующего импульса на входе С1. Информация в виде четырехразрядного параллельного кода появится на выходах Q1,Q2,Q3,Q4 через четыре такта входного импульса.

Параллельное занесение данных происходит через входы D1—D4 при наличии управляющего сигнала V2=1 с приходом спада импульса на вход С2. При этом вход последовательного занесения V1 и вход тактирующих сигналов С1 отключаются.

При организации сдвига кодов в сторону младших разрядов необходимо выполнить внешние соединения, показанные на рисунке 4.8.

 

Рисунок 4.8-Схема внешних соединений для сдвига в сторону младших разрядов

 

Последовательная запись в регистр осуществляется по входу D4 при управляющем сигнале V2=1. Сдвиг кодов влево осуществляется при каждом спаде тактирующего импульса С2. Параллельная запись при сдвиге кодов влево невозможна, поскольку каналы параллельного занесения используются для передачи данных от младших разрядов к старшим. Заметим, что в случае соединений, показанных на рисунке 4.8, отсутствует возможность лишь параллельного занесения данных. Сдвиг кодов в сторону старших разрядов возможен и, как и прежде, осуществляется подачей тактирующих сигналов на вход С1 при V2=0. Следовательно, сдвигающий регистр, изображенный на рисунке 4.8, является реверсивным.

5 СЧЕТЧИКИ

 

5.1 Общие сведения о счетчиках

 

Счетчиками называют устройства, ведущие счет числа импульсов.

Счетчики применяют не только для счета, но и для выполнения иных операций, которые можно свести к счету импульсов, а именно: преобразование количества импульсов в определенный код, деление частоты, суммирование или вычитание количества сигналов, распределение сигналов и т.д.

Основным параметром счетчика является коэффициент (модуль) счета Ксч.

Коэффициент счета равен количеству различных состояний счетчика. Именно столько необходимо импульсов, чтобы счетчик вернулся в исходное состояние. При использовании счетчика в качестве делителя частоты частота следования выходных импульсов меньше частоты входных в Ксч раз. Максимальное число, которое может отобразить счетчик на единицу меньше, чем Ксч. Основным элементом счетчиков является Т-триггер. На практике T-триггеры получают из D- или JK-триггеров.

В зависимости от направления счета различают суммирующие, вычитающие и реверсивные счетчики.

В суммирующем счетчике каждый счетный сигнал увеличивает число, записанное в счетчик на единицу (прямой счет), в вычитающем каждый счетный сигнал уменьшает содержимое счетчика на единицу (обратный счет). Реверсивный счетчик – может выполнять как прямой, так и обратный счет.

В таблицах 5.1 и 5.2 отображена последовательность изменения кодов в суммирующем и вычитающем счетчиках соответственно.

Таблица 5.1- Коды состояний суммирующего счетчика

Номер сигнала Разряды Число в счетчике
  Q2 Q1 Q0  
         
         
         
         
         
         
         
         
         

 

 

Таблица 5.2- Коды состояний вычитающего счетчика

Номер сигнала Разряды Число в счетчике
  Q2 Q1 Q0  
         
         
         
         
         
         
         
         
         

 

 

Если в качестве исходного состояния вычитающего счетчика выбрать десятичное число 7 (двоичный код 111), то последовательность входных импульсов уменьшает содержимое счетчика вплоть до 000, после чего наступает переполнение, т. е. возврат к исходному состоянию 111.

Если в качестве исходного состояния счетчика принять число 000, то состояния выходов триггеров счетчика отображают отрицательное число сосчитанных импульсов, представленное в дополнительном коде.

В зависимости от способа построения цепей переноса различают счетчики с последова- тельным и параллельным переносом.

5.2 Счетчики с последовательным переносом

 

5.2.1 Последовательный суммирующий счетчик

Как следует из таблицы 5.1 самый младший разряд Q0 меняет свое состояние с каждым счетным импульсом, смена состояния каждого последующего разряда происходит, если предыдущий переходит из единичного в нулевое состояние. Если использовать Т-триггеры, соединенные так, как показано на рисунке 5.1, то получим именно такую последовательность смены состояний триггеров.

 

Рисунок 5.1- Последовательный суммирующий счетчик

 

На рисунке 5.2 показаны временные диаграммы работы суммирующего счетчика

 

Рисунок 5.2- Временные диаграммы работы суммирующего счетчика

 

Каскадное включение п таких триггеров образует счетчик с коэффициентом счета Ксч = 2n. При этом необходимо помнить, что каждый триггер обладает Ксч = 2, а при их последовательном соединении коэффициенты счета перемножаются. На рисунке.2 видно, что период следования импульсов после каждого триггера увеличивается вдвое, и после последнего превышает период входных импульсов в Ксч раз. Соответственно частота уменьшается в такое же количество раз, т.е. делится на число, равное Ксч. Это свойство положено в основу использования счетчиков в качестве делителя частоты.

 

5.2.2 Последовательный вычитающий счетчик

Возможен и другой вариант последовательного включения триггеров, когда их входы соединены с инверсными выходами предшествующих триггеров, как показано на рисунке 5.3. Так получают двоичный вычитающий счетчик, смена состояний которого показана в таблице 5.2.

 

Рисунок 5.3 - Последовательный вычитающий счетчик

 

На рисунке 5.4 показаны временные диаграммы работы вычитающего счетчика.

 

Рисунок 5.4- Временные диаграммы работы вычитающего счетчика

 

На рисунках 5.1 и 5.3 показаны схемы двоичных последовательных счетчиков, т. е. таких счетчиков, в которых при изменении состояния определенного триггера возбуждается последующий триггер, причем триггеры меняют свои состояния последовательно.

Если в данной ситуации должны изменить свои состояния п триггеров, то для завершения этого процесса потребуется п интервалов времени, соответствующих времени изменения состояния каждого из триггеров. Такой последовательный характер работы является причиной двух недостатков последовательного счетчика:

- меньшая скорость счета по сравнению с параллельными счетчиками,

- возможность появления ложных сигналов на выходе схемы.

Допустимая скорость счета в счетчиках обоих типов определяется максимальной скоростью переключения одного триггера.

Определяя максимальную скорость счета последовательного счетчика, следует учитывать наиболее неблагоприятный случай изменения состояния всех т триггеров. Суммарную продолжительность переходного процесса можно определить как сумму времен запаздывания отдельных элементов, соединяющих триггеры, и времен срабатывания всех триггеров. Найденное таким образом максимальное время перехода счетчика из одного состояния в другое следует считать предельным. Обычно реальное время перехода меньше предельного, так как в ряду последовательно включенных триггеров данный триггер начинает переход из одного состояния в другое еще до окончания переходного процесса в возбуждающем его элементе.

Последовательный характер переходов триггеров счетчика является источником ложных сигналов на его выходах. Например, в счетчике, ведущем счет в четырехразрядном двоичном коде с «весами» 8421, при переходе от числа 710 = 01112 к числу 810 = 10002 на выходе появится следующая последовательность сигналов: 0111– 0110 – 0100 – 0000 – 1000. Это означает, что при переходе из состояния 7 в состояние 8 на выходах счетчика на короткое время появятся состояния 6; 4; 0. Эти дополнительные состояния могут вызвать неправильную работу других устройств.

 

5.3 Счетчики с параллельным переносом

 

В параллельных счетчиках синхронизирующие сигналы поступают на все триггеры одновременно, что уменьшает время протекания переходных процессов. В этом случае получим параллельный счетчик. Пример схемы суммирующего счетчика приведен на рисунке 5.5.

 

Рисунок 5.5- Параллельный суммирующий счетчик на TV-триггерах

 

Здесь счетные импульсы одновременно поступают на входы синхронизации Т всех триггеров, а на разрешающие входы V подаются сигналы, определяющие конкретные триггеры, которые изменяют свое состояние при данном входном импульсе. Если V=1, то триггер работает как обычно, если V=0, то находится в режиме хранения. Принцип работы счетчика следует из таблицы.1: триггер меняет свое состояние при поступлении очередного импульса синхронизации, если все предыдущие триггеры находились в состоянии логической единицы.

В качестве Т-триггера можно использовать универсальный JK-триггер, например ИМС К155ТВ1. Параллельный суммирующий счетчик на основе JK-триггеров приведен на рисунке 5.6.

 

Рисунок 5.6- Параллельный суммирующий счетчик на JK-триггерах

Здесь каждый триггер может находиться только в двух режимах: счетном (режим Т-триггера) и хранения. В первом случае J=K=1, во втором – J=K=0. Логика работы полностью соответствует описанию схемы, представленной на рисунке 5.5.

 

5.4 Реверсивные счетчики

 

Иногда требуются счетчики, допускающие вести счет, как в прямом, так и обратном направлении, т.е. реверсивные. Принцип их построения основан на использовании вентильных элементов, позволяющих организовать переключение режима работы. Один из вариантов реверсивного параллельного счетчика на TV-триггерах представлен на рисунке 5.7.

 

Рисунок 5.7- Параллельный реверсивный счетчик на TV-триггерах

 

Переключение направления счета достигается подачей сигнала логической единицы “1” на один из управляющих входов. Если “1” подана на вход “+1”, то режим суммирования, если на вход “-1”, то режим вычитания. В первом случае будут открыты верхние по схеме вентили И, поэтому сигналы переноса будут браться с прямых выходов триггеров, во втором случае открыты нижние вентили, и сигналы переноса проходят с инверсных выходов триггеров.

 

5.5 Счетчики с произвольным коэффициентом счета не равным 2n

 

В некоторых устройствах требуется счетчики с коэффициентом счета не равным 2n или с переменным коэффициентом счета. Один из возможных способов его изменения заключается в изменении логической структуры схемы в зависимости от сигналов управления коэффициентом счета. Смысл изменения заключается в изменении числа состояний счетчика, т.к. Ксч равен именно этому числу.

Предположим, что необходимо разработать параллельный счетчик, ведущий счет по модулю 5. Минимальное число триггеров, обеспечивающее коэффициент счета 5, равно трем. Действительно, счетчик, содержащий три триггера, может находиться в одном из восьми состояний (включая нулевое состояние 000). Но чтобы получить Ксч =5, необходимо уменьшить количество состояний на величину 8-5=3. Три состояния счетчика должны быть запрещены.

Возможны следующие основные способы уменьшения числа состояний:

- начальная установка кода,

- принудительный насчет в процессе счета,

- принудительное обнуление.

Под начальной установкой кода понимается предварительное занесение в счетчик перед началом счета числа, равного количеству избыточных состояний (для Ксч =5 их 3). Таким образом, количество импульсов, которые сосчитает счетчик до перехода в исходное состояние уменьшится на величину занесенного числа.

Принудительный насчет требует введения в схему счетчика дополнительных элементов, обеспечивающих в определенный момент занесение в счетчик числа равного количеству избыточных состояний. Примером построения счетчика по этому принципу может служить счетчик с Ксч=10, показанный на рисунке 5.8.

 

Рисунок 5.8- Счетчик с принудительным насчетом с Ксч=10

 

В течение первых восьми импульсов состояния счетчика изменяются обычным порядком как показано в таблице 5.3.

 

Таблица 5.3- Коды состояний счетчика с принудительным насчетом с Ксч=10

Номер сигнала Разряды (вес) Число в счетчике
  Q3 (8) Q2 (4) Q1 (2) Q0(1)  
           
           
           
           
           
           
           
           
           
         
         
           

 

С приходом девятого импульса (строка 9а) на входах логического элемента И появляются три единицы, а на его выходе «0», которым устанавливаются по входам S триггеры Q2 и Q1, имеющие веса 4 и 2 соответственно. Это равносильно занесению в счетчик числа 6 – именно столько избыточных состояний при Ксч=10. После окончания девятого импульса (строка 9б) Q0 переходит в единичное состояние, и в итоге в счетчике оказывается число 15 вместо числа 9. Десятым импульсом счетчик переходит в исходное нулевое состояние.

Принцип принудительного обнуления реализован в ИМС К155ИЕ5, которая представляет собой четырехразрядный последовательный двоичный счетчик с изменяемым Ксч в пределах 16. Условное графическое обозначение счетчика К155ИЕ5 представлено на рисунке 5.9.

 

Рисунок 5.9- Счетчик с принудительным обнулением К155ИЕ5

 

Структура счетчика К155ИЕ5 показана на рисунке 5.10.

 

Рисунок 5.10- Структура счетчика с принудительным обнулением К155ИЕ5

 

Счетчик К155ИЕ5 состоит из четырех счетных триггеров на основе JK-триггеров, причем он содержит две независимые части с Ксч=2 (вход С1 и выход Q1) и с Ксч=8 (вход С2 и выходы Q2, Q3, Q4). С помощью внешних соединений Q1 с С2 можно получить последовательный счетчик с Ксч=2Ч8=16. Входы R1 и R2 служат для сброса (обнуления) счетчика, которое произойдет, если R1 = R2 = 1.

Принцип получения произвольного коэффициента счета основан на подаче единичных сигналов с выходов счетчика на входы обнуления.

Например, для получения Ксч=10 сначала определяют количество триггеров. Их должно быть четыре, т.к. 24=16, что больше, чем 10. Производят соединение Q1 с С2. Затем записывают в двоичной форме десятичное число десять: это будет Q1=0, Q2=1, Q3=0, Q4=1. При Ксч=1010 максимальный выходной код соответствует числу 910, а следующее за ним число – 010, а не 1010. Следовательно, соединив выходы Q2 и Q4, на которых единицы одновременно появляются после десятого импульса, со входами R1 и R2, получим обнуление счетчика десятым импульсом, что и будет соответствовать Ксч=1010. На рисунке 5.11 показан счетчик с Ксч=10, построенный по описанной методике.

 

Рисунок 5.11-Счетчик с Ксч=10 на основе ИМС К155ИЕ5

 

Микросхемы К155ИЕ6, К555ИЕ6, КР1533ИЕ6 представляют собой двоично-десятичный, реверсивный счетчик, работающий в коде 1-2-4-8. Его условное графическое обозначение представлено на рисунке 5.12.

 

Рисунок 5.12-Счетчик К155ИЕ6, К555ИЕ6, КР1533ИЕ6

 

Назначение выходов и входов микросхемы К155ИЕ6, К555ИЕ6, КР1533ИЕ6:

- входы +1 и -1 служат для подачи тактовых импульсов, +1 – при прямом счете, -1 – при обратном.

- вход R служит для установки счетчика в 0,

- вход L – для записи в счетчик информации, поступающей по входам D1 - D8.

Установка триггеров счетчика в 0 происходит при подаче лог. 1 вход R, при этом на входе L должна быть лог. 1. Для предварительной записи в счетчик любого числа от 0 до 9 его код следует подать на входы D1 - D8 (D1 - младший разряд, D8 - старший), при этом на входе R должен быть лог. 0, и на вход L подать импульс отрицательной полярности.

Режим предварительной записи можно использовать для построения делителей частоты с перестраиваемым коэффициентом деления. Если этот режим не используется, на входе L должен постоянно поддерживаться уровень лог. 1.

Прямой счет осуществляется при подаче импульсов отрицательной полярности на вход +1, при этом на входах -1 и L должна быть лог. 1, на входе R – лог. 0. Переключение триггеров счетчика происходит по спадам входных импульсов, одновременно с каждым десятым входным импульсом на выходе >9 формируется отрицательный выходной импульс переполнения, который может подаваться на вход +1 следующей микросхемы многоразрядного счетчика. Уровни на выходах 1-2-4-8 счетчика соответствуют состоянию счетчика в данный момент (в двоичном коде). При обратном счете входные импульсы подаются на вход -1, выходные импульсы снимаются с выхода ≤ 0.

 

<== предыдущая лекция | следующая лекция ==>
Транзистор. Полупроводниковые приборы не с одним, а с двумя n–p-переходами называются транзисторами | Теорема о существовании единственного решения задачи Коши
Поделиться с друзьями:


Дата добавления: 2014-01-20; Просмотров: 7735; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.078 сек.