Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Преподаватель: Кошелев С.И

По схемотехнике

По практическому заданию №2

ОТЧЕТ

«Триггеры»

Вариант 9

Студент гр.3081/2: Филатов

Санкт-Петербург 2011

1. Цель работы:

- Закрепление знания характеристик и режимов работы триггеров основных типов;

- получение практических навыков тестирования и управления триггерами;

- получение навыков ввода проекта в графическом редакторе пакета Quartus II, тестирования и отладки проекта и анализа временных характеристик триггеров;

- получение навыков отладки цифровых устройств данного класса на физической модели: конфигурирование СБИС ПЛ и экспериментальная проверка работы типовых устройств с триггерами при использовании лабораторной платы DiLab.

 

2. Программа работы:

2.1 Проектирование асинхронного RS-тгиггера:

Рис. 1. Схема асинхронного RS-триггера

2.2 Временное моделирование асинхронного RS-триггера:

Рис. 2. Временные диаграммы асинхронного RS-триггера

Дискретное время Входные переменные Состояния Режим работы  
t   S(t) R(t)   Q(t) nQ(t)    
          Установка 1
        Хранение 1
        Установка 0
        Хранение 0
        Установка 1
        Особое состояние
        Установка 0
        Особое состояние
        Установка 1

 

2.3 Установка режима генерации:

Рис. 3. Режим генерации асинхронного RS-триггера

При подаче коротких импульсов (порядка долей нс) на вход S, модель асинхронного RS-триггера переходит в режим генерации.

2.4 RS-триггер синхронизируемый уровнем:

2.4.1 Без элемента LCELL:

Рис. 4. RS-триггер синхронизируемый уровнем

Рис. 5. Временная диаграмма RS-триггера, синхронизируемого уровнем

Из Рис. 5. видно, что триггер синхронизируется уровнем, а не перепадом.

На следующем рисунке (рис. 6) виден переход триггера из особого состояния в состояние хранения когда на спаде С R=S=1, при этом на выходе 1:

Рис. 6. Подача запрещенного сигнала

2.4.2 С элементом LCELL:

Рис. 6. RS-триггер синхронизируемый уровнем с добавлением элемента задержки

На следующем рисунке (рис. 6) виден переход триггера из особого состояния в состояние хранения когда на спаде С R=S=1, при этом на выходе 0:

Рис. 7 Временная диаграмма при подаче запрещённого импульса с введением в схему задержки.

2.5 D-триггер:

Рис. 8. Схемка D-триггера:

Рис. 9. Временные диаграммы D-триггера

 

2.6 Исследование примитивов DFFE и JKFFE:

Схема примитива DFFE:

Рис. 10. Схема D-триггера, синхронизируемого перепадом

Рис. 11. Временная диаграмма работы D-триггера, синхронизируемого перепадом

Из рисунка 11 видно, что триггер синхронизируется фронтом синхросигнала.

При подаче активных уровней одновременно на входы CLRN и PRN наблюдаем следующюю временную диаграмму:

Рис. 12. Одновременная подача активного уровня на входы CLRN и PRN

 

 

Введение в линию CLRN задержку. Это приведет к приоритетности установки триггера в «0»:

Рис. 13. Одновременная подача активного уровня на входы CLRN и PRN (при задержке в линии CLRN)

Схема примитива JKFFE:

Рис. 14. JK-триггер, синхронизируемый перепадом

Триггер синхронизируется фронтом синхросигнала.

Рис. 15. Временная диаграмма JK-триггера, синхронизируемого перепадомом

 

2.7 Исследование работы DFF триггера:

Рис. 16. Схема D-триггера, синхронизируемого перепадом

Рис. 17. Временная диаграмма D-триггера, синхронизируемого перепадом

Временные значения, полученные анализатором:

Tsu = 3.860 ns

Tco = 6.972 ns

Th = -3.594 ns

Время Th может принимать отрицательные значения:

2.8 Генератор коротких импульсов:

Рис. 18. Схема генератора коротких импульсов

Рис. 19. Временная диаграмма генератора коротких импульсов

На рисунке 19 время генерируемого импульса составляет 1.63 нс.

Время формируемого импульса можно увеличить добавлением задержки в обратную связь (рис. 20):

Рис. 20. Схема генератора коротких импульсов с добавлением задержки

 

Рис. 21. Временная диаграмма схемы генератора коротких импульсов с добавлением задержки

Итак, на рисунке 21 время генерируемого импульса составляет около 2 нс.

Для большего времени длительности импульса необходимо добавить больше элементов задержки.

 

2.9 Объединение двух устройств формирования коротких импульсов:

Схема:

 

Рис. 22. Схема объединения двух устройств формирования коротких импульсов

Рис. 23. Временные диаграммы данного устройства

Выводы:

В ходе выполнения работы были закреплены знания характеристик и режимов работы триггеров основных типов. Были изучены особенности работы триггеров (асинхронные входы установки и сброса);

Были получены необходимые практические навыки тестирования и управления различными видами триггеров, навыки ввода проекта в графическом редакторе пакета Quartus II, навыки работы с временными диаграммами для создания тестирования и отладки проекта;

 

 

<== предыдущая лекция | следующая лекция ==>
Методические рекомендации по выполнению контрольных заданий | Что нужно выполнить
Поделиться с друзьями:


Дата добавления: 2014-12-24; Просмотров: 2527; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.018 сек.