Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Архитектура и основные особенности ПЛИС Spartan-3




ЛЕКЦИИ 13 -17

 

 

Лекция 1 3. Архитектура ПЛИС серии Spartan: Spartan-3, Spartan-3a Spartan–3AN.

2002–2003 годы ознаменовались появлением очередного семейства Spartan-3,. Это семейство полностью отвечает ожиданиям от данной серии — еще дешевле и еще больше производи тельности в типичных задачах. В настоящее время розничные цены на младшие устройст ва Spartan-3 находятся в районе $10, что прак тически вплотную приближает ПЛИС к мик роконтроллерам с сопоставимыми функцио нальными возможностями. Самое важное, в составе этого семейства наконец-то появи лись выделенные умножители, а также изме нена организация блочной памяти с 4096 бит на 1КW18. Иными словами, архитектура Spartan-3 в целом основана на ПЛИС Virtex-II. Каждый КЛБ семейства Spartan-3 состоит из четырех секций, сгруппированных в пары (рис. 2). Левая пара называется SLICEM и содер жит привычные разработчикам, знакомым с предыдущими семействами ПЛИС Xilinx, пол нофункциональные логические генераторы, ко торые могут использоваться также в качестве распределенного ОЗУ или сдвигового регистра. Однако расположенная на рисунке справа пара SLICEL может реализовать только логику.

Рис. 1. Организация секций КЛБ в ПЛИС Spartan-3

Схемы секций семейств Spartan-3, представлены соответственно на рис. 2. Для Spartan-3 показаны верхние секции и часть общей логики. Назначение этих рисунков — продемонстрировать общую схожесть архитектур последних семейств ПЛИС. Тем не менее, можно отметить некоторые моменты, свидетельствующие об «облегчении» устройств Spartan. В основном это касается чуть меньшей сложности внутренних трассировочных ресурсов и меньшего количества дополнительных выходов секции.

Рис. 2. Схема секции ПЛИС Spartan-3

Интересным является также анализ организации внутренних мультиплексоров в логических ячейках. Как уже упоминалось, они служат для объединения нескольких входных линий. В действительности такие устройства необходимы для нормального функционирования логических ячеек, поскольку в проекте может потребоваться использование выходного сигнала логической ячейки как непосредственно после LUT, так и после триггера той же ячейки. Поэтому часть мультиплексоров, входящих в логические ячейки, может быть использована для формирования более сложных узлов комбинаторной логики. Такие программируемые мультиплексоры обозначаются как Fx, где x — номер в порядке возрастания иерархии в общей структуре программируемых ресурсов.

Еще одним нововведением (впервые для устройств Xilinx) является возможность загруз ки конфигурации непосредственно с NOR-Flash с 8- или 16-разрядной шиной данных. Такой режим освобождает от необходимости исполь зовать только специальные конфигурацион ные ПЗУ производства Xilinx, заменив их прак тически любой подходящей по объему микро схемой Flash-памяти.

Технические характеристики ПЛИС Spartan-3 и Spartan-3E приведены в таблице.

Таблица

Рис.3

Динамика изменения максимального логи ческого объема и напряжения питания ПЛИС Spartan приведена на рис. 4 и 5.

Рис. 4. Максимальный логический объем ПЛИС серии Spartan

Рис.5

Еще более странно рассматривать такой проект на фоне присутствия в Spartan-3 блочной памяти еще большего объема (до 1,8 Мбит на кристалле в старших моделях!). Можно предположить, что большая часть логических ячеек, скорее всего, будет реализовывать имен но логические функции, а уменьшение макси мального объема доступной распределенной памяти прекрасно компенсируется увеличени ем количества блочной (гораздо более эффек тивной и удобной в использовании). Наконец, следует все-таки отметить, что распределенная память в секциях SLICEM так и осталась рас пределенной по всему кристаллу. В итоге пол нофункциональные SLICEM и облегченные SLICEL вполне могут дополнять друг друга.

Что касается внутренних буферов с тремя состояниями, то можно заметить, что выиг рыш от их использования появляется в тех случаях, когда в проекте требуется мульти плексирование шин с большой разрядностью, причем число входных шин мультиплексоров также оказывается достаточно большим. В этих случаях использование буферов с тре тьим состоянием оказывается выгоднее, чем ка скадное наращивание логических ячеек (огра ниченных по числу входов мультиплексора). Однако очевидно, что если возможности ло гических ячеек по реализации многовходовых мультиплексоров будут улучшены, то и по требность во внутренних буферах с тремя со стояниями существенно уменьшится. Имен но такой путь и был реализован в семействе Spartan-3, причем удаление буферов привело одновременно и к уменьшению задержек, и к удешевлению микросхем.

Особенности и основные характеристики ПЛИС семейств Spartan–3A и Spartan–3AN

Семейства Spartan–3A и Spartan–3AN представляют собой дальнейшее развитие серии ПЛИС Spartan–3. Поэтому кристаллам этих семейств присуще большинство характерных особенностей ПЛИС предшествующих семейств этой серии. В состав архитектуры кристаллов семейств Spartan–3A и Spartan–3AN входят те же структурные элементы, что и в выпускаемые ранее ПЛИС серии Spartan–3. Основное архитектурное отличие кристаллов последних семейств проявляется в оптимизированной системе программируемых блоков ввода/вывода (Input/Output block, IOB). Кроме того, данные кристаллы обладают рядом преимуществ по сравнению с ПЛИС семейств Spartan–3 и Spartan–3E. Наиболее важными особенностями кристаллов семейств Spartan–3A и Spartan–3AN являются:

· наличие уникального идентификационного кода DNA у каждого кристалла, который существенно упрощает организацию защиты от копирования создаваемых проектов;

· использование усовершенствованной технологии Select I/O, позволяющей поддерживать расширенный спектр однополюсных и дифференциальных цифровых сигнальных стандартов ввода/вывода, общее число которых увеличено до 26;

· поддержка в полном объеме стандартов ввода/вывода с реальными уровнями сигналов 3,3 В;

· возможность осуществления передачи данных с удвоенной скоростью Double Data Rate в соответствии со спецификацией DDR2, открывающая широкие перспективы для реализации высокоскоростных интерфейсов со скоростью передачи данных до 400 Мбит/с;

· применение встроенных модернизированных аппаратных умножителей с возможностью конвейерной организации выполнения операций вычисления произведения 18–разрядных значений, позволяющих добиться повышения производительности разрабатываемых устройств цифровой обработки сигналов;

· применение специального режима управления уровнем потребляемой мощности;

· полное соответствие условиям реализации функции «горячей замены» (Hot Swap);

· применение модулей блочной памяти с поддержкой режима побайтной записи, повышающего эффективность их использования в составе встраиваемых систем, реализуемых на базе конфигурируемых микропроцессорных ядер семейств PicoBlaze [1–4], MicroBlaze [1, 5–8];

· наличие сторожевого таймера CWDT (configuration watchdog timer), обеспечивающего автоматическое возобновление процесса конфигурирования ПЛИС при возникновении ошибок;

· возможность применения в ряде случаев одного источника напряжения для питания выходных каскадов блоков ввода/вывода (шины VCCO) и специализированных ресурсов ПЛИС (шины VCCAUX).

Внедрение новых технологических решений в ПЛИС семейств Spartan–3A и Spartan–3AN предоставляет пользователям возможность значительного снижения уровня потребляемой мощности. В кристаллах этих семейств кроме «спящего» режима (Hibernate Mode) предусмотрен «приостановленный» режим (Suspend Mode). При переходе в «приостановленный» режим (режим пониженного энергопотребления) в кристалле полностью сохраняется конфигурационная информация, а также текущее состояние всех внутренних элементов (триггеров, сдвиговых регистров, ОЗУ). Кроме того, разработчику предоставляется возможность определения состояния для каждого пользовательского вывода ПЛИС в этом режиме с помощью соответствующих выражений ограничений. Таким образом, в режиме пониженного энергопотребления Suspend Mode сохраняется текущее состояние реализуемого устройства, в котором оно находилось в момент перехода в этот режим. Переключение между активным и «приостановленным» режимами осуществляется подачей соответствующего уровня сигнала на специальный вывод ПЛИС, который имеет условное обозначение SUSPEND. Время возврата кристалла в активное состояние составляет менее 500 мкс. Применение приостановленного режима позволяет добиться сокращения потребляемой мощности в статике до 40%. Для вычисления оценки потребляемой мощности устройствами, выполняемыми на основе ПЛИС семейств Spartan–3A и Spartan–3AN, можно использовать программные средства XPower Power Estimator, а также программу XPower Analyzer, которая входит в состав САПР серии Xilinx ISE (Integrated Software Environment/Integrated Synthesis Environment)[9].

ПЛИС семейства Spartan–3AN отличаются от кристаллов семейства Spartan–3A наличием интегрированной конфигурационной энергонезависимой Flash–памяти. Размещение конфигурационного ППЗУ в одном корпусе с кристаллом FPGA позволяет дополнительно повысить уровень защищенности разрабатываемых проектов. Такое решение исключает возможность считывания конфигурационной последовательности в процессе ее загрузки в ПЛИС. Кроме того, каждый элемент интегрированной Flash–памяти в ПЛИС семейства Spartan–3AN имеет уникальный идентификационный код — Factory Flash ID, который устанавливается производителем. Тем самым предоставляется дополнительная степень защиты от копирования конфигурационных данных пользовательских проектов. Еще одно преимущество этих ПЛИС — сокращение площади печатной платы разрабатываемых устройств за счет исключения дополнительного корпуса внешней конфигурационной памяти и упрощения процесса трассировки.

В состав каждого из семейств Spartan–3A и Spartan–3AN входят пять типов ПЛИС, содержащих от 1584 до 25 344 логических ячеек (от 50 000 до 1,4 млн системных вентилей). Основные параметры кристаллов рассматриваемых семейств, которые отражают информацию об объеме доступных ресурсов различного типа, представлены в таблице 1.

 

 

         

Основные особенности семейства Spartan-6:

  • Два подсемейства, включающие 13 кристаллов:
    • LX: оптимизировано для выполнения логических функций
    • LXT: оптимизировано под высокоскоростные последовательные интерфейсы
  • Технология производства: 45 нм к-МОП
  • Напряжение питания ядра: 1,2 В или 1,0 В (только LX, с классом быстродействия 1L)
  • Низкое энергопотребление в статике и динамике:
    • 60% снижение статического потребления (по сравнению с Spartan®-3A)
    • 50% снижение динамического потребления (по сравнению с Spartan®-3A)
  • Поддержка большого количества стандартов ввода-вывода:
    • Прием/передача данных со скоростью 1050 Мбит/с по каждой дифференциальной паре контактов
    • Поддержка 1,2 В-3,3 В стандартов ввода-вывода
    • Программируемый ток выходных каскадов до 24 мА
    • Поддержка функции «Горячей» замены
  • Новая логическая ячейка с 6-ти входовым LUT и двумя триггерами
  • Большое количество аппаратных ядер:
    • GTP – 3,125 Гбит/с приёмо-передатчики (только LXT)
    • Модуль 1-lane PCI Express v 1.1 (только LXT)
    • DSP48A1 – блок цифровой обработки сигналов, состоящий из 18x18 умножителя, 48-бит аккумулятора, предсумматора и регистров для конвейеризации
    • Контроллер памяти DDR, DDR2, DDR3, обеспечивающий полосу пропускания до 12,8 Гбит/с
    • Block RAM – блок памяти емкостью 18 кбит, который можно сконфигурировать как два блока по 9 кбит
    • CMT – блок управления и синтеза сигналов синхронизации
  • Защита проекта от копирования:
    • Уникальный идентификационный номер кристалла – DNA
    • Шифрование конфигурационной последовательности по алгоритму AES

Основные параметры кристалов семейства Spartan-6 представленый в таблице




Поделиться с друзьями:


Дата добавления: 2014-01-07; Просмотров: 1582; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.022 сек.