Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Работа №3 Исследование принципов построения счетчиков




Цель работы: изучение схем двоичных счетчиков, построение и исследование счетчиков и пересчетных схем с заданным числом состояний.

Счетчиком называется операционное устройство, фиксирующее
число сигналов во входной последовательности.

По целевому назначению счетчики подразделяются на простые
и реверсивные. Простые счетчики работают либо в режиме сложения (суммирующие счетчики), либо в режиме вычитания (вычитающие счетчики). Реверсивные счетчики реализуют оба режима
счета.

Основой построения счетчиков служат триггеры.

Если соединения разрядов счетчика передают перенос в старший
разряд при переходе младшего разряда из состояния 1 в состояние 0,
счетчик работает в режиме сложения.

Например,

0011 — исходное состояние разрядов счетчика;

1 — входной сигнал суммируется;

< < – – — межразрядные переносы при переходе 1→0;

0100 — результирующее состояние.

Если перенос в старший разряд образуется при переходе младше-
го разряда из состояния 0 в состояние 1, счетчик работает в режиме вычитания.

Например,

0100 — исходное состояние разрядов счетчика;

1 — входной сигнал вычитается;

< < – – — межразрядные переносы при переходе 0→1;

0011 — результирующее состояние.

Выбор перехода 1→0 определяется передачей на следующий раз-
ряд сигнала прямого выхода триггера j-k (или инверсного выхода
триггера D), а перехода 0→1 — передачей сигнала инверсного выхода триггера
j-k (или прямого выхода триггера D).

Быстродействие счетчика зависит от реализации межразряд-
ных соединений. Существует три основных способа передачи переносов: последовательный, параллельный и групповой.

Групповой перенос представляет комбинацию параллельного переноса
в группе и последовательного – между группами разрядов счетчика
или наоборот.

В счетчике с последовательным переносом на вход следующего
(старшего) разряда счетчика подается сигнал непосредственно с вы-
хода предшествующего (младшего) разряда, поэтому триггеры счетчика переключаются последовательно: выходной сигнал на последнем
старшем разряде появляется только после срабатывания всех младших
разрядов, т.е. средняя задержка переключения n-разрядного счетчика
определяется:

,

где – средняя задержка переключения счетчика;

– cредняя задержка переключения триггера;

n — количество разрядов счетчика.

На рис. 21 а, б приведены схемы суммирующего, а на рис.
22 в, г – вычитающего счетчиков с последовательным перено-
сом, реализованных на триггерах j-k и D-типов соответственно.

 

 

Рис. 21

 

В счетчиках с параллельным переносом на информационный
вход каждого разряда поступают сигналы с выходов всех предшест-
вующих (младших) разрядов, а входной счетный сигнал одновременно
(параллельно) поступает на входы синхронизации С триггеров во всех разря-
дах. Счетчики с параллельным переносом обычно строятся на базе j-k
и D-триггеров, синхронизируемых входом. На рис. 22 представлена
схема суммирующего счетчика с параллельным переносом на тригге-
рах типа j-k.

 

Рис. 22

Средняя задержка переключения счетчика с параллельным пере-
носом определяется: , где – средняя задержка переключения одного триггера.

При построении реверсивного счетчика необходимо предусмотреть возможность выбора режима счета (сложение или вычитание). Схема асинхронного реверсивного счетчика с последовательным переносом, показана на рис.22. В зависимости от управляющего сиг-
нала (сложение — вычитание) открываются схемы И-ИЛИ-НЕ меж-
разрядных переносов либо для режима сложения, либо для режима
вычитания.

Одним из основных параметров, характеризующих счетчик, является емкость счетчика.

Емкостью счетчика называется число его различных состояний. Двоичный n-разрядный счетчик имеет максимальную емкость .
Для рассмотренных выше четырехразрядных двоичных счетчиков имеем различных состояний: 0000, 1000, 0100, 1100,
..., 1111; порядок смены состояний при подаче входных сигналов
определяется режимом счета. Схема счетчика, формирующая выходной сигнал после поступления на его вход фиксированного числа сигналов, называется пере
счетной схемой. Число входных сигналов, определяющее появление
выходного сигнала, принято называть коэффициентом пересчета
схемы.

При наличии обратных связей n-разрядный двоичный счетчик
имеет емкость ; такие схемы называются счетчиками по модулю K.

Проектирование счетчика (т.е. пересчетной схемы) с заданным числом
состояний К выполняется следующим образом.

1. Определяется количество разрядов n из условия:

;

2. Определяется число неиспользуемых состояний

,

которое представляется n-разрядным двоичным кодом.

3. В зависимости от требований к кодированию внутренних состояний схемы, используются три способа построения:

а) начальное состояние счетчика кодируется числом т, остальные – , .

В этом случае схема представляет собой суммирующий счетчик.
Выходной сигнал со старшего разряда и сигнал установки начального
состояния подается на установочные входы всех разрядов схемы со-
гласно двоичному коду числа т. Недостаток схемы — отсутствие ну-
левого состояния;

б) начальное состояние нулевое, остальные — 1,2,..., К-1. Схема
представляет суммирующий счетчик. Шина установки нулевого кода
управляется объединением сигнала установки начального состояния
и логического произведения входного сигнала с сигналами прямых
выходов тех триггеров, которым в двоичном коде числа m соответствуют нули;

в) начальное состояние принимается нулевым; при организации
межразрядных переносов в разрядах, которым соответствует 1 в
двоичном коде числа т, используются инверсные выходы (разряды
работают в режиме вычитания), а в разрядах, которым соответствует 0 в двоичном коде числа m, используются прямые выходы (раз-
ряды работают в режиме суммирования). Сигнал установки начального состояния и выходной сигнал со старшего разряда подаются
на установку всех разрядов схемы в нуль. Коды состояний не упорядочены, схему нельзя использовать в качестве счетчика. Пере
счетные схемы, в которых часть разрядов работает в режиме суммирования, а часть — в режиме вычитания, называются схемами с
комбинированными связями.

При реализации счетчиков по модулю К по способу «б» мож-
но использовать также сброс схемы в нулевое состояние без исполь-
зования входного сигнала, но в этом случае получим дополнительное
неустойчивое состояние счетчика, соответствующее n-разрядному
двоичному коду числа К.

В качестве примера рассмотрим построение декадного (десятичного) счетчика на базе триггеров j-k с установочным входом R. Не-
обходимо, чтобы после 10-гo входного сигнала состояние счетчика автоматически изменилось с кода 1010 на код 0000. Состояния схемы, имеющие коды более 1010, являются неопределенными. Таким об-
разом, карта Вейча функции сброса счетчика в ноль по входам R (рис.
23,а) будет иметь значение 0 только на наборе 1010. Выразим через
функцию И-НЕ: .

Выход схемы И-НЕ требует дополнительной инверсии. Схема декадного счетчика приведена на рис. 23.

При построении пересчетных схем целесообразно использовать
методы структурного синтеза автоматов.

В правой верхней сменной части макета установлен блок счетчи-
ков, включающий два 4-разрядных реверсивных двоичных счетчика
(СТ2) и один синхронный десятичный счетчик (СТ10).

Реверсивных двоичный счетчик может работать в режимах прямого и обратного счета. Сигналы счета подаются на вход С и счетчик
складывает (вычитает) их при наличии разрешающего сигнала счета
на входе ЕС (низкий уровень). Направление счета определяется потенциалом на входе реверса (E+1, Е-1) с одним входным гнездом (рис. 24).

 

 

Рис. 23

 

Уровень логического нуля на этом входе определяет направление
прямого счета, а уровень логической единицы — направление обратного счета.

При счете в прямом направлении при достижении максимальной
емкости вырабатывается сигнал переноса «CR», при счете в об-
ратном направлении — сигнал заема «BR». В счетчике имеется возможность установки начального состояния счета по входам
при (Одновременная подача уровня логического
нуля на входы ЕС и EWR запрещена).

В качестве примера рассмотрим реализацию на данной схеме
декадного счетчика по первому способу построения. Начальное со стояние т = б записывается в счетчик по входам при на-
личии сигнала синхронизации С и уровня логического нуля на входе
EWR.

 

Рис. 24

 

Сигналы на входы счетчика подаются с переключательных регистров и контролируются индикаторами Л5 — Л8, что позволяет видеть записываемый код числа; выходи счетчика подключаются
к индикаторам Л5 — Л8.

Сигнал переноса «CR» со счетчика подается на вход «D» одного
из триггеров типа D, прямой выход которого соединяется со входом
«ЕС», а инверсный — со входом «EWR» счетчика.

На вход реверса (Е + 1, Е – 1) счетчика подается сигнал нулевого
уровня (прямой счет).

Входы синхронизации «С» счетчика и триггера соединяются ли-
бо непосредственно с выходом генератора синхроимпульсов «СИ»,
(«СИ1», «СИ2») для изучения работы счетчика на экране осцилло-
графа, либо через схему «одиночного импульса», что позволяет осу-
ществлять работу счетчика от кнопки «ПУСК» и контролировать
изменение выходного кода счетчика на индикаторах Л1 — Л4.

При работе с осциллографом необходимо:

· для обеспечения синхронизации соединить вход синхронизации
осциллографа со старшим разрядом выхода счетчика;

· на вход 1-го канала осциллографа подать синхроимпульсы, поступающее на входы «С» счетчика и триггера;

· вход 2-гo канала осциллографа соединить с выходом младшего
разряда счетчика.

Блок счетчиков включает синхронный декадный счетчик
СТ10 с возможностью синхронной установки в произвольное со-
стояние, асинхронным сбросом и дешифрирующим счетным входом (рис 3.4).

Счетчик устанавливается в предварительное состояние при наличии на входе EWR уровня логического нуля. При этом состояние
входов «1, 2, 4, 8» записывается в счетчик по положительному перепаду (переднему фронту импульса) на входе синхронизации «С». На входы «1, 2, 4, 8» могут быть
записаны коды от 0 до 9. В режиме записи состояния входов EC1 и
ЕС2 могут быть любыми. Схема устанавливается в нулевое состояние подачей на вход «R» уровня логического нуля. Счет происходит
при наличии на входах ЕС1, ЕС2 и EWR уровня логической едини-
цы. Сигнал переноса положительной полярности вырабатывается
длительностью в один период сигнала синхронизации, на входе CR
устанавливается уровень логической единицы, после десятого –
уровень логического нуля.




Поделиться с друзьями:


Дата добавления: 2015-06-27; Просмотров: 1329; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.008 сек.