Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Побудова дешифраторів з використанням мовою опису апаратури AHDL

 

Приклад 3. Побудувати повний дешифратор при з використанням мови опису апаратури AHDL та дослідити його роботу.

Побудову шифраторів з використанням мови опису апаратури AHDL можна виконати декількома способами.

10. Реалізація повного дешифратора при з використанням оператора CASE мови AHDL (текстовий файл dc3_8_t). На рис. 6 наведено результати моделювання побудованого дешифратора.

 

SUBDESIGN dc3_8_t

(

code[2..0]: INPUT;

Out[7..0]: OUTPUT;

)

BEGIN

CASE code[] IS

WHEN 0 => Out[]=B"00000001";

WHEN 1 => Out[]=B"00000010";

WHEN 2 => Out[]=B"00000100";

WHEN 3 => Out[]=B"00001000";

WHEN 4 => Out[]=B"00010000";

WHEN 5 => Out[]=B"00100000";

WHEN 6 => Out[]=B"01000000";

WHEN 7 => Out[]=B"10000000";

END CASE;

END;

Рис. 6

20. Реалізація повного дешифратора при з використанням таблиці істинності TABLE мови AHDL (текстовий файл dc3_8_tr_tb)). На рис. 7 наведено результати моделювання побудованого дешифратора.

 

SUBDESIGN dc3_8_tr_tb

(

i[2..0]: INPUT;

Y[7..0]: OUTPUT;

)

BEGIN

TABLE

i[]=>Y[];

0 => 1;

1 => 2;

2 => 4;

3 => 8;

4 => 16;

5 => 32;

6 => 64;

7 => 128;

END TABLE;

END;

Рис. 7

Приклад 4. Побудувати неповний дешифратор при користуючись мовою опису апаратури AHDL.

10. Реалізація неповного дешифратора при з використанням таблиці істинності TABLE мови AHDL (текстовий файл dc3_5_tr_tb). На рис. 8 наведено результати моделювання побудованого дешифратора.

 

SUBDESIGN dc3_5_tr_tb

(

k[2..0]: INPUT;

Z[7..0]: OUTPUT;

)

BEGIN

TABLE

k[]=>Z[];

0 => B"xx000001";

1 => B"xx000010";

2 => B"xx000100";

3 => B"xx001000";

4 => B"xx010000";

5 => B"xx100000";

END TABLE;

END;

Рис.8.

20. Реалізація неповного дешифратора при з використанням оператора DEFAULTS мови AHDL (текстовий файл dc3_5_np_t). Результати моделювання наведено на рис. 9.

 

SUBDESIGN dc3_5_np_t

(

in[2..0]: INPUT;

z[7..0]: OUTPUT;

)

BEGIN

DEFAULTS

Z[] = GND;

END DEFAULTS;

TABLE

in[] => z[];

0 => 1;

1 => 2;

2 => 4;

3 => 8;

4 => 16;

5 => 32;

END TABLE;

END;

 

Рис. 9

Зауважимо, що у випадку використання змінної х як імені вхідної групи, компілятор видає повідомлення про помилку вигяду.

Це зв’язано з тим, що змінна х є зарезервованим ідентифікатором.

Нижче наведено програму, яка реалізовує неповний дешифратор 4_5 та результати його моделювання (рис. 10).

 

SUBDESIGN dc4_5_tr_tb

(

k[3..0]: INPUT;

Z[15..0]: OUTPUT;

)

BEGIN

TABLE

k[]=>Z[];

0 => B"xxxxxxxxxx000001";

1 => B"xxxxxxxxxx000010";

2 => B"xxxxxxxxxx000100";

3 => B"xxxxxxxxxx001000";

4 => B"xxxxxxxxxx010000";

5 => B"xxxxxxxxxx100000";

END TABLE;

END;

 

Рис. 10

 

Приклад 5. Користуючись пакетом MAX+plus II побудувати дешифратор на два входи і чотири виходи, на одному з яких формується низький потенціал (логічний 0), на інших – високий (логічна 1). Нижче наведено таблицю істинності такого дешифратора

 

             
             
             
             
             

 

Функції виходу для такого дешифратора мають вигляд:

, , , .

Схема та результати такого дешифратора наведено на рис. 11.

 

Рис. 11

Приклад повного трирозрядного дешифратора з інверсними виходами наведено в [1].

Крім інформаційних входів дешифратори мають додаткові входи керування. Сигнали на цих входах, наприклад, дозволяють функціонувати дешифратору або переводять його у пасивний стан, при якому, незалежно від сигналів на інформаційних входах, на всіх виходах встановлюється рівень логічної одиниці.

Вхід дозволу дешифратора може бути прямим або інверсним. У дешифраторів з прямим входом дозволу активним рівнем є рівень логічної одиниці, а у дешифраторів з інверсним входом – рівень логічного нуля.

Як приклад, на рис.12 наведено схему включення дешифратора 74154 (вітчизняний аналог К155ИД3). Дана ІМС має чотири входи A, B, C, D, два входи дозволу G1, G2 і шістнадцять інверсних виходів 0, 1, …,15. У режимі дешифратора з числового генератора на входи G1, G2 подається 0, а на адресні входи – код з діапазону 0000…1111. У режимі демультиплексора один із входів дозволу, наприклад G1, використовується в якості інформаційного. Інформаційний сигнал у вигляді логічного 0 із цього входу розподіляється на виходи 0…15 у відповідності зі станом адресних входів, тобто режими дешифратора і демультиплексора практично не відрізняються. Робота дешифратора у режимі дешифратора ілюструється схемою, наведеною на рис. 12-13.

Рис. 13

Рис. 12

 


<== предыдущая лекция | следующая лекция ==>
Побудова дешифраторів з використанням пакету MAX+plus II | Шифратори
Поделиться с друзьями:


Дата добавления: 2014-01-11; Просмотров: 598; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.012 сек.