Студопедия

КАТЕГОРИИ:


Архитектура-(3434)Астрономия-(809)Биология-(7483)Биотехнологии-(1457)Военное дело-(14632)Высокие технологии-(1363)География-(913)Геология-(1438)Государство-(451)Демография-(1065)Дом-(47672)Журналистика и СМИ-(912)Изобретательство-(14524)Иностранные языки-(4268)Информатика-(17799)Искусство-(1338)История-(13644)Компьютеры-(11121)Косметика-(55)Кулинария-(373)Культура-(8427)Лингвистика-(374)Литература-(1642)Маркетинг-(23702)Математика-(16968)Машиностроение-(1700)Медицина-(12668)Менеджмент-(24684)Механика-(15423)Науковедение-(506)Образование-(11852)Охрана труда-(3308)Педагогика-(5571)Полиграфия-(1312)Политика-(7869)Право-(5454)Приборостроение-(1369)Программирование-(2801)Производство-(97182)Промышленность-(8706)Психология-(18388)Религия-(3217)Связь-(10668)Сельское хозяйство-(299)Социология-(6455)Спорт-(42831)Строительство-(4793)Торговля-(5050)Транспорт-(2929)Туризм-(1568)Физика-(3942)Философия-(17015)Финансы-(26596)Химия-(22929)Экология-(12095)Экономика-(9961)Электроника-(8441)Электротехника-(4623)Энергетика-(12629)Юриспруденция-(1492)Ядерная техника-(1748)

Правила построения, требования к оформлению временных диаграмм изложены в Приложении 2. 4 страница




У синхронных счётчиков состояние всех ячеек меняется одновременно (синхронно) в ответ на подачу тактового импульса. В асинхронных счётчиках состояние ячеек младшего разряда. В работе исследуется синхронный двоичный счётчик.

Двоичные счётчики по способу управления подразделяются на суммирующие, вычитающие и реверсивные. «Содержимое» суммирующих счётчиков увеличивается на единицу при поступлении на вход счёта каждого тактового импульса. Пусть содержимое 4-разрядного счётчика отображается двоичным числом 1011. После подачи на вход одного импульса в счётчике будет результат

1011+0001=1100.

Если счётчик вычитающий, то содержимое уменьшается на единицу, то есть станет равным

1011 – 0001 = 1010.

Реверсивные счётчики обладают этими двумя свойствами. Они могут работать в режимах суммирования и вычитания. Для этого имеется два входа: «+1» и «-1» и путем выбора входа, на который будут подаваться импульсы, можно менять режим работы счётчика.

На рис.1 приведено условное графическое обозначение (УГО) микросхемы К155ИЕ7, представляющий собой 4-разрядый реверсивный двоичный синхронный счётчик импульсов. Кроме названных входов и выходов, он имеет дополнительные входы и выходы, наличие которых расширяет функциональные возможности микросхемы и область её применения. Назначение и обозначение входов и выходов счётчика указано в табл.1.

Счётчик может работать в трёх основных режимах: записи; суммирования и вычитания. Последние два режима – это, соответственно, режимы «прямого» и «обратного» счёта. Эти режимы возможны только тогда, когда на входе сброса R присутствует сигнал лог.0. В противном случае счётчик не будет реагировать ни на какие входные сигналы. Таким образом, наивысший приоритет отдан сигналу сброса.

В режиме сброса на всех информационных выходах Q0, Q1, Q2 и Q3 устанавливаются сигналы лог.0, а на выходах «³15» и «£0», соответственно с названиями ПЕРЕНОС и ЗАЁМ, будут сигналы лог.1.

Режим записи используется для принудительной установки счётчика в требуемое состояние перед режимами счёта. Поэтому он еще называется режимом «предустановки». При этом состояние ячеек будет однозначно определяться значениями сигналов на входах D0, D1, D2 и D3 в момент подачи на вход «С» (вход синхронизации записи) сигнала лог.0

 

 

  Обозначение   Назначение вывода  
D0, D1, D2, D3 Входы параллельной записи информации
С   Вход синхронизации записи со входов D0…D3
+1   Вход счёта в режиме суммирования
-1   Вход счёта в режиме вычитания
R   Вход сброса (установки 0)  
Q0…Q3 Выходы информационные
£0   Выход сигнала ЗАЁМ в режиме вычитания
³15 Выход сигнала ПЕРЕНОС в режиме суммирования

Таблица 1

 

 

 

Рис.1. УГО микросхемы К155ИЕ7

 

В режиме суммирования (прямого счёта) импульс с входа «+1» действует так, что содержимое счётчика увеличивается на единицу. То есть сначала изменяется состояние ячейки самого младшего разряда, сигнал переноса (если он возникает) меняет состояние ячейки следующего старшего разряда. И так далее по мере поступления импульсов, пока в счётчике не окажется самое большое число 1111(2)=15(10). Очевидно, что очередной импульс должен перевести счетчик в состояние 0000 и на выходе «³15» должен появиться сигнал активного уровня (лог.0). Это – сигнал ПЕРЕНОС в следующий старший разряд. Его называют ещё сигналом «переполнения» счётчика. При дальнейшей подаче счётных импульсов состояния счётчика будут повторяться с циклом Т4= 16Dt, где Dt – период следования входных импульсов. Таким образом, 4-разрядный двоичный счётчик себя как сумматор по модулю 16: каждый 16-й импульс переводит счётчик в состояние, предшествующее началу счёта.

В отличие от режима суммирования при работе счётчика в режиме обратного счёта (вычитания) его содержимое уменьшается на единицу. Согласно правилам вычитания двоичных чисел, если уменьшаемое меньше вычитаемого, то из следующего старшего разряда уменьшаемого «занимается» единица, и процесс вычитания продолжается. Так как единица «ближайшего» старшего разряда равна двум единицам «ближайшего» младшего разряда, то при «заёме» единицы во всех младших разрядах уменьшаемого, начиная с разряда, где была взята единица, ставятся 1. А в разряде, с которого начинается вычитание, ставится 2 и производится вычитание.

Например, содержимое счётчика было «нулевым» - 0000(2) и на вход «-1» подаётся импульс: 0000-0001=? Процесс вычитания будет выполняться так:

–1ØØØØ

¯ ¯ ¯ ¯

_ 0 0 0 0 _ 1 1 1 2 _ 1 1 1 2

0 0 0 10 0 0 10 0 0 1

* * * * * * * * 1 1 1 1 – разность

 

Таким образом, после вычитания единицы из нуля в счётчике окажется число 1111(2)=15(10), а на выходе «£0» (ЗАЁМ) появится кратковременно сигнал активного уровня (импульс лог.0). Следующие импульсы по входу «-1» будут уменьшать содержимое счётчика без появления сигнала ЗАЁМ до тех пор, пока вновь содержимое не станет равным нулю. Это произойдет через 15 импульсов, то есть на 16-м такте работы счётчика. Затем процесс счёта будет повторятся.

Из анализа рис.1 следует, что входы «+1» и «-1» прямые динамические. Это означает, что состояние счётчика изменяется в моменты перехода входных сигналов от значения лог.0 к значению лог.1, то есть по фронту входных импульсов.

На рис.2 приведена функциональная схема счётчика К155ИЕ7. Как видно, схема состоит из 4-х ячеек, выполненных каждая на синхронном двухступенчатом RS-триггере (RSC-триггер) с логическими элементами, формирующими сигналы по его входам «С», «R» и «S». Каждый триггер имеет синхронизированные входы установки в состояние лог.1 (S), состояние лог.0 (R)и дополнительный асинхронный вход сброса R, сигнал лог.0 по которому принудительно устанавливает вход сброса R, сигнал лог.0 по которому принудительно устанавливает триггер в состояние логического нуля независимо от значения сигналов по другим входам.

Элементами 3И-НЕ и 2И-НЕ формируются значения сигналов по синхронизированным входам, а элементы типа И-ИЛИ предопределяют значения сигналов на входах синхронизации (С) триггеров.

 

Так как эти входы инверсные динамические, то триггеры могут менять своё состояние только в момент возникновения перехода 1®0 синхронизирующего сигнала. Состояния же, в которые перейдут триггеры, будут определяться присутствующей в данный момент времени комбинацией сигналов на синхронизированных входах S и R. Если же на обоих указанных входах присутствуют сигналы лог.1, то триггер в ответ на подачу каждого импульса по синхровходу будет менять своё состояние на противоположное. Такой режим соответствует работе Т-триггера или «ячейке пересчёта на два».

Из анализа рис.2 следует, что сигнал по синхровходу i-го триггера (i=0, 1, 2, 3) определяется состояниями предыдущих ячеек и значением сигнала по входам «+1» либо «-1» (в зависимости от режима работы счётчика). Так как сигналы по указанным входам инвертируются, то переход 0®1 счётного импульса соответствует переходу 1®0 на синровходе триггера. Поэтому счётчик изменяет состояние по фронту каждого счётного импульса. Чтобы счётчик работал верно, необходимо обеспечить сигнал лог.1 на другом счётном входе. Иначе первая ячейка (выход Q0) работать не будет из-за неизменности сигнала по синхровходу триггера этой ячейки. Таким образом, в режиме суммирования (импульсы подаются на вход +1) на входе -1 должен быть сигнал лог.1. Если же используется режим вычитания, то на входе +1 должен быть постоянно сигнал лог.1.

Информационные выходы счётчика имеют буквенно-цифровое обозначение (см. рис.2). Причём цифра есть в то же самое время показатель степени двойки при определении весового коэффициента разряда двоичного числа, отображаемого состоянием ячейки (и триггера) счётчика. Так выход Q3 и одноимённая ячейка соответствует старшему разряду двоичного числа с «весом» 23=8, а выход Q0 (и ячейка) самому младшему разряду числа (и счётчика) с весом 20=-1.

Более подробные сведения о функционировании счётчика К155ИЕ7 представляют собой одну из задач лабораторной работы и выясняются самостоятельно путем анализа схемы рис.2.

 

3. Описание лабораторной установки

 

Работа выполняется на субблоке «СЧЁТЧИК». В состав субблока входят (см. рис.3): счётчик К155ИЕ7 (D3); тумблеры SA1, … SA4 под гравировками «D0», «D1», «D2», «D4», соответственно, для задания значений сигналов по входам предустановки; два вспомогательных генератора импульсов Г1 и Г2; схема формирования входных сигналов, а так же схема индикации выходных сигналов счётчика.

Оба генератора собраны по схеме симметричного мультивибратора на микросхеме К155ЛН1. Генератор Г1 настроен на частоту»2Гц и используется для исследования счётчика в динамическом режиме. Частота генератора Г2 значительно больше и он используется для формирования одиночных импульсов при работе счётчика в потактовом режиме («ручном управлении»). Формирование входных сигналов в потактовом режиме осуществляется нажатием кнопок SB1 (ВВОД) и SB2 (СЧЁТ), соответственно, сигнала синхронизации параллельной записи числа - предустановки и счётных импульсов. Субблок обеспечивает «ручной» ввод числа – предустановки и автоматический. Выбор этого производится тумблером SA6, промаркированным на лицевой панели надписями РУЧН и АВТ, соответственно. Выбор непрерывного либо потактового режимов работы субблока осуществляется тумблером SA5, имеющим маркировку НЕПР и ОДИН (одиночный запуск).

 

Рис.3 Упрощенная функциональная схема субблока СЧЁТЧИК

 

Режим работы счётчика (сложение либо вычитание) определяется тумблером SA7, положения которого имеют одноимённую маркировку. Чтобы перевести счётчик в нулевое состояние, достаточно однократно нажать на кнопку SB3 (УСТ.0).

Схема индикации выполнена на светодиодах VH1,..VH4 типа АЛ310. Кроме элементов,. Показанных на рис.3, в субблоке предусмотрены коммутационные гнёзда для подключения осциллографа и элементы повышения нагрузочной способности микросхемы К155ИЕ7.

 

4. Задание на лабораторную работу

4.1.Ознакомиться с составом субблока, уяснить назначение, расположение и функционирование каждого элемента, входящего в субблок.

4.2.Уяснить принцип построения и действия микросхемы К155ИЕ7, режимы работы и сделать предварительные выводы о свойствах счетчика.

4.3.Исследовать работу микросхему К155ИЕ7 в потактовом режиме при счёте со сложением, с вычитанием вначале без предустановки, а затем с предварительной установкой (записью) какого либо числа. Сделать выводы по результатам экспериментов.

4.4.Исследовать работу счётчика в динамическом режиме (режим непрерывного счёта) с предустановкой и без неё. Построить временные диаграммы счётчика в режимах счета и записи информации. Исследование выполнить согласно указаниям п. 6.4 (см. ниже).

4.5.Выполнить по указанию преподавателя индивидуальное задание согласно табл.2. Построить временные диаграммы и схему включения К155ИЕ7 по индивидуальному заданию. Сделайте выводы по применению счётчиков импульсов.

4.6.Ознакомиться с назначением и работой микросхемы К155ИЕ6. Уяснить её отличия от счётчиков ИЕ7. Определите назначение входов и выходов, приведите УГО этой микросхемы.

 

5. Содержание отчёта

Отчёт оформляется согласно требованиям кафедры АиКС ТПУ и должен содержать.

5.1. Цель лабораторной работы.

5.2. Условные графические обозначения (с цоколёвкой) микросхем К155ИЕ7 и К155ИЕ6, таблицы назначения выводов и режимов работы указанных микросхем.

5.3. Упрощенную функциональную схему субблока и временные диаграммы работы счётчика К155ИЕ7 в непрерывном режиме при счёте с суммированием и с вычитанием.

5.4. Схему включения счётчика К155ИЕ7 и временные диаграммы его работы по результатам выполнения индивидуального задания.

5.5. Выводы по результатам экспериментов и выполнения индивидуального задания.

 

6. Методические указания

6.1 Состав субблока можно уяснить по функциональной схеме рис.3 и непосредственно ознакомиться с конструкцией его лицевой панели, на которой также приведена функциональная схема субблока. Прежде всего, уясните назначение тумблеров и кнопок, а также назначение светодиодов.

Сделайте выводы о назначении D-триггеров D2.1 и D2.2 (см. рис.3), определив вначале их исходное состояние – до нажатия кнопок ВВОД и СЧЁТ, а затем последующие состояния при кратковременном нажатии кнопок. Ответьте на вопросы. «Почему нельзя непосредственно с кнопок ВВОД и СЧЁТ подавать сигналы на счётчик?» и «Почему для кнопки УСТ.0 не предусмотрен аналогичный триггер?».

 

Варианты индивидуального задания

Таблица 2

 

  Вари ант №     Устройство     Вход Коэф- Фици-ент К Времен- Ной ин-тервал  
  Делитель частоты импульсов +1   -
  Задатчик временного интервала +1 - Т=5Dt
  Делитель частоты импульсов -1   -
  Задатчик временного интервала -1 - T=10Dt
  Делитель частоты импульсов +1   -
  Задатчик временного интервала -1 - T=13Dt

 

6.2. Принцип построения и работу счётчика можно уяснить, анализируя его функциональную схему рис.2 и условное обозначение на рис.1. Предварительно, по табл.1, следует ознакомиться с назначением входов и выходов и возможными режимами работы.

Сначала сделайте предположение, что все триггеры находятся в состоянии лог.0. Затем, зафиксировав значения сигналов на всех входах (+1, -1, D0, …, D3, C, R), согласно общему описанию алгоритма работы счётчика (см. п.2) выясните значения сигналов на выходах Q0, …, Q3, £0 и ³15. Если при выбранных значениях входных сигналов не возникают логические противоречия в зависимостях выходных сигналов от входных, то состояние счётчика будет устойчивым, а значения выходных сигналов будут подтверждены. В противном случае состояние счётчика будет неустойчивым, и он в следующий момент времени перейдет в новое состояние, когда выходные сигналы изменят своё значение. При этом следует проанализировать значения выходных сигналов всех логических элементов и состояния каждого триггера в отдельности.

Используйте схему рис.2 для поиска алгебраических выражений логических функций-сигналов по входам синхронизации («С»), установки в состояние лог.1 («S»), установки в состояние лог.0 («R») RSC-триггеров, образующих ячейки счётчика.

Примите следующие обозначения логических переменных, отображающих входные и выходные сигналы:

>


Для функций, описывающих поступающие на триггеры сигналы, используйте следующие обозначения:

Fci – по входам синхронизации; Fsi – по входам S; FRi – по синхронизированным входам сброса Ri, где iÎ{0, 1, 2, 3}- номер триггера (и ячейки).

Например, для ячейки старшего (четвёртого) разряда i=3, получим следующие логические выражения, описывающие указанные сигналы:

(1)

Из анализа выражений (1) следует, что значения сигналов по синхронизированным входам триггера S и R будут равны лог.1, если сигнал по входу «С» счётчика равен лог.1 независимо от значения других входных сигналов и состояния триггера. Если же с=0, то сигнал по входу R будет всегда инверсным по отношению к сигналу по входу S. А значение последнего будет определяться значением информационного сигнала d3, то есть старшим разрядом информационного слова (Это режим предустановки счётчика).

Как видно из выражения для FС3, значения сигнала на входе синхронизации триггера определяются состояниями предыдущих трёх триггеров и, кроме того, значениями сигналов по входам «+1» и «-1». Причём, если на обоих счётных входах будут сигналы лог.1, то FС3=0. Таково исходное значение сигнала. Если же, например, выбран режим счёта со сложением, то. И значение сигнала на синхровходе рассматриваемого триггера не изменится, так как оба дизъюнктивных члена в выражении FС3 будут равны нулю при условии, что все триггеры находились в состоянии лог.0. Однако полагая q0 q1 q2 q3=1, что соответствует состоянию счётчика 0111(2)=7(10), сигнал FС3=1.Но состояние ячейки не изменится, так как синхровход триггера инверсный динамический и переход 0®1 не является для него активным. Зато при поступлении на вход +1 импульса лог.1, триггер перейдет в состояние лог.1, так как на его синхровходе возникает активный переход 1®0, (в то время как предыдущие триггеры окажутся в состоянии лог.0). В счётчике будет число 1000(2)=8(10). Аналогично проводится анализ состояния счётчика при других комбинациях входных сигналов.

Следует заметить, что формирование сигналов Fsi и FRi для триггеров других ячеек производится идентичными схемами и описывается идентичными логическими выражениями. Отличия лишь в том, что на различные ячейки оказывают разные сигналы di по соответствующим информационным входам.

Выводы по результатам анализа должны констатировать последовательность изменения состояний ячеек счётчика в ответ на последовательности импульсов по входам +1, -1, а также условия появления на выходах «³15» и «£0» сигналов активного уровня (лог.0).

6.3. Эксперименты по исследованию счётчика в потактовом режиме спланируйте самостоятельно так, чтобы получить подтверждение (либо опровержение) результатам анализа по схеме рис.2. Обязательному экспериментальному подтверждению подлежат режимы работы счётчика при счёте со сложением, с вычитанием («прямой счёт» и «обратный счёт»), а также режим параллельной записи числа в счётчик (режим предустановки). Причём выполните два эксперимента: с записью выбранного числа при «ручном» вводе и, когда число вводится автоматически. Выполняя эксперименты с автоматической записью числа, обратите внимание, когда записывается число – информационное слово. При нажатии кнопки СЧЁТ или при её отпускании? Это поможет Вам правильно построить временные диаграммы в динамическом режиме работы счётчика с автоматической предустановкой. И последнее замечание. Работа счётчика с автоматической предустановкой по существу является режимом, когда вводится обратная связь на вход синхронизации параллельной записи с одного из его выходов. Ответьте на вопрос: «Через сколько тактов состояния счётчика будут повторяться после автоматической записи выбранного числа?

6.4. Исследования в динамических режимах следует провести аналогично экспериментам по п.4.3. задания с тем отличием. Что тумблер SA5 (см. рис.3) надо поставить в положение НЕПР, тогда счётные импульсы будут поступать от генератора Г1 непрерывно.

Выясните, как функционирует счётчик при изменении числа предустановки «вручную» и автоматически. Проделайте несколько таких экспериментов, изменяя число предустановки.

Поставьте опыты в режимах автоматической предустановки и изменением «направления» счёта – с прямого на обратный и наоборот. Сделайте выводы по экспериментам и объясните результаты.

Выясните действие сигнала УСТ.0 (сброс) нажатием и удержанием одноимённой кнопки в процессе непрерывного счёта.

Проведите эксперименты, доказывающие, что сигнал по входу «С» счетчика (синхронизации записи) обладает вторым приоритетом после сигала «сброс».

6.5. Согласно вариантам индивидуального задания (см. табл.2) на основе микросхемы К155ИЕ7 требуется построить либо делитель частоты импульсов, либо задатчик временного интервала. Коэффициент деления (К) и величина временного интервала (Т) заданы. Предполагается, что импульсы счета поступают непрерывно с фиксированным периодом Dt на указанный в таблице вход счётчика (либо +1, либо -1). Как известно, временной интервал можно определить длительностью одного импульса или между фронтами (либо спадами) двух следующих друг за другом импульсов. Требуется построить схему включения и определить выход счётчика, на котором бы появлялся импульс требуемой длительности, либо пару выходов счётчика с последовательностью импульсов, период которых был бы равен требуемому временному интервалу.

Решением задачи основано на применению обратной связи по входу «С2 счётчика и выборе числа - предустановки «m». Выход, с которого надо взять обратную связь и конкретное значение числа – предустановки, определите самостоятельно. При этом руководствуйтесь ранее выполненными экспериментами и результатами анализа (с помощью временных диаграмм) работы счётчика по полученной схеме его включения. Выходы счётчика, принимаемые за выход (либо выходы) заданного устройства, определяются по временным диаграммам.

Обобщите результат выполнения индивидуального задания, отыскав зависимости вида

К=f(m) и Т=f(Dt, m),

 

где m может меняться в пределах от 0 до 15 включительно, для двух случаев: когда входные импульсы подаются на вход +1 и, когда для этой цели используется вход -1.

6.6. Микросхема К155ИЕ6 представляет собой двоично-десятичный счётчик импульсов, аналогичный по функциональным свойствам микросхеме К155ИЕ7. Так как у такого счётчика каждый 10-й импульс должен приводить вновь к исходному состоянию, то сделайте предложения по изменению связей между ячейками в схеме рис.2 для реализации этого действия. А затем убедитесь в справедливости своих заключений, сопоставив измененную схему рис.2 с функциональной схемой ИМС К155ИЕ6 [1].

Обобщающие выводы по лабораторной работе должны содержать:

· Формулировку принципа построения реверсивных синхронных двоичных счётчиков импульсов;

· Перечень режимов работы таких счётчиков с указанием назначения входных и выходных сигналов, а также приоритетов входных сигналов;

· Основные варианты применения счётчиков для преобразования последовательностей двоичных сигналов и построения многоразрядных счётных устройств.

 

Вопросы для самопроверки

1. По каким признакам классифицируются счётчики? Чем отличаются синхронные счётчики импульсов от асинхронных, потенциальные от импульсных? Приведите примеры микросхем классифицируемых счётчиков.

2. Каково назначение входов и выходов счётчика К155ИЕ7? Объясните это по УГО названной микросхемы.

3. В каких режимах может работать счётчик К155ИЕ7? Объясните по функциональной схеме работу счётчика в каждом из режимов и иллюстрируйте ответ временными диаграммами.

4. Для какой цели предусмотрены выходы «³15» и «£0» у микросхемы К155ИЕ7? Как построить 8-разрядный двоичный счётчик из микросхем К155ИЕ7, сохранив все режимы работы?

5. Почему при обратном счёте на входе «+1» должен быть сигнал лог.1, а при прямом счёте – на выходе «-1»?

6. В чём заключается принцип построения синхронных реверсивных двоичных счётчиков импульсов? Объясните на примере счётчика К155ИЕ7.

7. Какие логические элементы и функциональные узлы образуют одну ячейку счётчика К155ИЕ7? Объясните работу триггера, входящего в состав ячейки.

8. Какое число тактов образуют цикл работы счётчика К155ИЕ7? Как влияет на длительность цикла работы счётчика его автоматическая предустановка в заданное состояние? Ответ иллюстрируйте временными диаграммами.

9. Какими приоритетами «обладают» входные сигналы счётчика К155ИЕ7? Объясните это по функциональной схеме рис.2.

10. В чём различие и сходство микросхем К155ИЕ6 и 155ИЕ7? Приведите условное графическое обозначение ИМС К155ИЕ6.

11. Для чего можно использовать двоичные (либо двоично-десятичные) счётчики импульсов, работающие в режиме автоматической предустановки?

 

 

Работа 6. МУЛЬТИПЛЕКСОРЫ-СЕЛЕКТОРЫ

(Часть 1 –реализация комбинационных устройств)

 

1. Цель лабораторной работы

Изучение и экспериментальное исследование функциональных свойств мультиплексоров-селекторов в интегральном исполнении. Их применения для реализации логических функций и формирования последовательностей импульсов с заданными временными параметрами.

 

2. Основные сведения и понятия

Мультиплексор-селектор – это электронный коммутатор дискретных сигналов с многих «направлений» на одно (или несколько). Обычно мультиплексор-селектор (MS) имеет две группы входов: одну группу из 2k входов, называемых информационными, и другую из k входов, называемых адресными или управляющими. Двоичная комбинация сигналов на адресных входах однозначно указывает номер («адрес») информационного входа, который будет скоммутирован к выходу мультиплексора в рассматриваемый момент или интервал времени.

Число «k» принято называть «порядком» мультиплексора – селектора (в дальнейшем просто «мультиплексор» или MS). Порядок мультиплексора предопределяет «способность» его к коммутации определённого количества информационных входов и функциональные возможности микросхем MS.

По принципу действия MS – это комбинационное устройство, логический модуль, значение выходного сигнала которого однозначно определяется значением информационного, подключенного в данный момент времени к выходу.

В общем случае, мультиплексор образован полным декодером k-го порядка и многовходовым логическим элементом типа 2И-2k-ИЛИ либо 2И-2kИЛИ-НЕ. На один из входов элемента 2И подаётся информационный сигнал, а на другой сигнал с одного из выходов полного декодера. Таким образом, в каждый момент времени может быть скоммутирован только один из информационных входов. Выходной сигнал может совпадать по значениям с информационным сигналом (для мультиплексоров с прямым выходом) либо быть инверсным ему (для мультиплексоров с инверсным выходом).

Стандартные микросхемы MS имеют ограниченное число адресных входов и, соответственно, число информационных входов: 4, 8 или 16 (порядок мультиплексора k=2, 3 или 4). Дополнительно, кроме информационных и адресных, может предусматриваться стробирующий вход, называемый также входом «разрешения-запрета». Сигнал по этому входу «разрешает» либо «запрещает» коммутацию информационных сигналов. В состоянии фиксированное значение и не зависит от значений других входных сигналов.

На рис.1 приведено условное графическое обозначение микросхемы К155КП7, являющейся стробируемым мультиплексором третьего порядка (MS-3), и её упрощенная функциональная схема.

Как видно, мультиплексор имеет два выхода (прямой и инверсный), 8 информационных входов, помеченных групповой меткой D, три адресных входа с групповой меткой SED и инверсный стробирующий вход. Если входным сигналам поставить в однозначное соответствие логические элементы:

а0, а1, а2 – по адресным входам;

х0, х1,… х7 – по информационным входам;

s – по стробирующему входу;

а выходным сигналам функции F и, соответственно, на прямом и инверсном выходах, то указанный мультиплексор можно описать следующим логическим выражением.

 

Рис. 1. УГО микросхемы К155КП7 (а) и её функциональная схема (б)

 

(1)

 

В формуле (1) символы + соответствует дизъюнкции (логический сумме), символы логического произведения пропущены, а черта над переменной обозначает инверсию. Это выражение можно получить на основе логического описания полного декодера третьего порядка (см. Работу 2) и функциональной схемы рис.1,б. из анализа (1) следует, что конъюнкция адресных переменных, стоящие в круглых скобках, образуют полное множество конституент логических функций от трех аргументов. Следовательно, при s=0 мультиплексор-селектор позволит реализовать любую логическую функцию трёх аргументов. Для этого лишь необходимо выбрать способ подключения информационных входов к шинам лог.0 и лог.1, то есть придать информационным переменным хi значение лог.0 либо лог.1.




Поделиться с друзьями:


Дата добавления: 2014-12-26; Просмотров: 2350; Нарушение авторских прав?; Мы поможем в написании вашей работы!


Нам важно ваше мнение! Был ли полезен опубликованный материал? Да | Нет



studopedia.su - Студопедия (2013 - 2024) год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! Последнее добавление




Генерация страницы за: 0.008 сек.